CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 键盘扫描 vhdl

搜索资源列表

  1. VHDL 程序举例

    1下载:
  2. VHDL经典编成程序。有大概100个程序。包括键盘扫描等。- these are typical program of VHDL.there are almost 100 pieces of program.including program about keyboard scanning.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:69024
    • 提供者:林玉儿
  1. VHDl-several-procedure

    0下载:
  2. alter 公司芯片的几个简单应用,主要是红外遥控,键盘扫描等几个程序-chips alter a few simple applications, primarily infrared remote control, keyboard scanning procedures, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83400
    • 提供者:javenliu
  1. vhdl-com

    0下载:
  2. 一些vhdl的常用程序,包括键盘扫描-instantiate some common procedures, including scanning keyboard, etc
  3. 所属分类:并口编程

    • 发布日期:2008-10-13
    • 文件大小:2111
    • 提供者:阿飞
  1. key_4x4.rar

    0下载:
  2. 4x4键盘结合LED动态显示,里面包含了键盘扫描、2进制转10进制BCD码、LED编码和LED动态显示,4x4 keyboard combination LED dynamic display, which contains the keyboard scan, 2 to 10 hexadecimal BCD hex code, LED codes and LED dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:134368
    • 提供者:hao
  1. dled.rar

    0下载:
  2. VHDL语言,动态数码管扫描显示。包含分频程序和扫描键盘程序。,VHDL language, dynamic digital tube display scan. Frequency Division contains the procedures and procedures for scanning the keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:216021
    • 提供者:赵文
  1. VHDL.rar

    1下载:
  2. 4*4键盘扫描的VHDL程序,可消除抖动,可以帮助大家一下,4* 4 keyboard scan VHDL procedures to eliminate jitter, we can help you
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3935
    • 提供者:孙仲
  1. keybord

    0下载:
  2. 用Verilog语言实现4*4键盘扫描程序-using Verilog keyboard4*4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1110
    • 提供者:zhengyongrui
  1. key_scan

    0下载:
  2. FPGA键盘扫描,采用VHDL语言编写的键盘扫描代码-FPGA keyboard scanning, the use of VHDL language keyboard scan code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1032
    • 提供者:zyc
  1. KEYBOARD

    0下载:
  2. 键盘扫描程序,该程序可以对4*4的键盘进行扫描-Keyboard scanning process, which can be 4* 4 keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1178
    • 提供者:舒文杰
  1. vhdlkey

    0下载:
  2. vhdl 关于键盘扫描的程序 。。。。 很有用的 。。。。。。也很好-VHDL on the keyboard scanning process. . . . Very useful. . . . . . Good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1304
    • 提供者:liun
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. jianpang

    0下载:
  2. 键盘扫描重复输入功能,用于单片机的键盘输入-To re-type the keyboard scanning function, the keyboard input for single-chip
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3582
    • 提供者:谭强
  1. 61EDA_D964

    0下载:
  2. 4_4小键盘扫描+VHDL语言的,可以实现数码管显示,有译码功能-Scan 4_4 small keyboard+ VHDL language can be achieved digital display, a decoding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185238
    • 提供者:随云
  1. keydecoder_deb

    0下载:
  2. 基于fpga的键盘扫描输出模块vhdl描述,可以直接调用,也可稍作修改另用-Fpga-based keyboard scan vhdl descr iption of output module can be called directly, with some slight modifications can also be separate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1052
    • 提供者:郭帅
  1. FPGAjianpansaomiao

    0下载:
  2. FPGA的键盘扫描程序,VHDL编程,大家下载看吧。-FPGA keyboard scanner, VHDL programming
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-07
    • 文件大小:25204
    • 提供者:李军
  1. keyboard

    0下载:
  2. 4*4键盘扫描的VHDL程序,可消除抖动,可以帮助大家一下-4* 4 keyboard scan VHDL procedures to eliminate jitter, we can help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3134
    • 提供者:孙仲
  1. test

    2下载:
  2. VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据-VHDL code
  3. 所属分类:其他小程序

    • 发布日期:2014-03-28
    • 文件大小:68036
    • 提供者:王莹
  1. key

    0下载:
  2. 4*4键盘扫描VHDL程序,程序中有产生键值,值得参考-heguo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:976
    • 提供者:heguo
  1. vhdl

    0下载:
  2. 应用vhdl在实验箱上实现键盘扫描带有去抖并且移位(To realize the keyboard scan to shake and shift)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:6144
    • 提供者:浪费
  1. 4乘4键盘扫描控制器

    2下载:
  2. 1. 键值采用16进制编码,即16个按键分别对应显示16进制数 0~F,按键对应关系如下:最上面一行从左至右依次为0~3, 第二行从左至右依次为4~7,第三行从左至右依次为8~B,最 下面一行从左至右依次为C~F,其中b、d显示为小写,其他字 母大写; 2. 按键按下时显示当前键值并保持,直到下一按键被按下时更新 显示; 3. 只有按键被按下时蜂鸣器发出按键音,放开后蜂鸣器不发声。 4. 每个按键对应不同的按键音。(1. The key value is encoded in hexadecim
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-13
    • 文件大小:3444736
    • 提供者:Minbadly
« 12 3 4 »
搜珍网 www.dssz.com